[vlc-devel] [PATCH 1/6] clock: remove unused variable

Thomas Guillem thomas at gllm.fr
Thu Sep 26 16:38:09 CEST 2019


---
 src/clock/clock.c | 1 -
 1 file changed, 1 deletion(-)

diff --git a/src/clock/clock.c b/src/clock/clock.c
index 82365341d2..d1fa1848d0 100644
--- a/src/clock/clock.c
+++ b/src/clock/clock.c
@@ -69,7 +69,6 @@ struct vlc_clock_t
 
     vlc_clock_main_t *owner;
     vlc_tick_t delay;
-    vlc_tick_t dejitter;
 
     const struct vlc_clock_cbs *cbs;
     void *cbs_data;
-- 
2.20.1



More information about the vlc-devel mailing list